スポンサーリンク


RAKUTEN

  • スポンサーリンク

« 2011年5月 | メイン | 2011年10月 »

2011/08/20

鮫カレイ

先輩方と、他にない魚を出してくれるというお店に行ってきました。

そこで頂いたのが下の写真。手前の白身がカワハギ、小鉢がその肝、奥が鮫カレイ、右はサービスの鮪です。

Img_0193

カワハギの肝和えももちろんですが、今回初めて食べた鮫カレイというものは非常に美味でした。

身は固からず・柔らかからずの程よい弾力と深みのある味わいのもので、ポン酢醤油で美味しく頂けました。

お店の人によるとほとんどが縁側みたいな感じだそうです。歯ごたえは上等のサーモンとヒラメの縁側の中間みたいな感じで、味はそれらより上じゃないかと思いました。

帰ってから鮫カレイについて検索したら以下のページが見つかりました。

http://www.zukan-bouz.com/karei/karei02/samegarei.html

形態については「薄汚れ」とか「だぶついている」とかひどい言われようです。たしかに裏側の写真はそんなかんじですが。

しかし味についてはべたぼめですね。

生息域が日本全域となっていますが、今までみたことがないです。独特の名前だから魚売り場等で見れば忘れないと思うのですが。。。。あまり流通していないのでしょうか。

 

とにかくメニューにあったら絶対注文の魚だと思いました。

2011/08/18

ALTERA CycloneII FPGAボード その4

環境ができたので、まずは7セグメントLEDを使って簡単な何か、ゲームみたいなものでも作ろうと思い、7セグメントLEDのドライバを組んでみました。

日昇エレクトロニクスさんのサンプルで7セグLEDの表示もありましたが、そちらは結構凝った造りで、4ビットx8で0〜9の数字を8文字分いれるとその数字を表示する、というものです。

ゲームみたいなものを考えると、もっと簡単なものでよいから、数字に限らず各LEDを個別に操作できるのがよいかなと思ってちょっと組んでみました。

 

 

できたものを動かしたところが下写真のような感じです。

Img_0191

簡単なものですが、ご参考にVerilogソースを公開します。各LEDのビットパターンを与えると、そのパターンをダイナミックドライブで表示するだけのものです。

「test7segled.v」をダウンロード  :こちらがTOPモジュール

「test7segled_drv.v」をダウンロード  :こちらがLEDドライバ

 

この後、昔「ラジオの製作」「初歩のラジオ」に掲載されたようなTTLゲーム的なものを思い出して作ってみようかと思います。

2011/08/17

ALTERA CycloneII FPGAボード その3

今日ちょっと時間ができたので、FPGAボード開発用のPC環境をうつすことにしました。

というのは、これまでメインに使っているデスクトップPCにQuartusIIをインストールして使っていたのですが、デスクトップのある部屋はエアコンがなくて、扇風機ではやっていられませんので、ノートPCにも入れて、涼しい所でいじろうという考えです。

ところが、QuartusII11.0とUSBBLASTERのインストールは前と同じように恙なくできたのですが、Programmerメニューで以下のようにUSBBLASTERが見つからなくなってしまいました。

Error

 

 

ドライバはちゃんと入っているようだし、いったいなんだろうと思い悩み、色々検索した後、最終的に"quartusII windows7 usb blaster no device 82"のGoogle検索で、以下のフォーラムがひっかかりました。

http://www.alteraforum.com/forum/archive/index.php/t-30050.html

私と同じような状況へのヘルプで、上の方の回答に”i solved the problem by installing the 10.1sp1 Stand Alone Programmer, uninstalling the USB Blaster driver, then installing again using the 10.1sp1 driver
”とありましたので、まずそのStand Alone Programmerを入れてみることにしました。

ダウンロードはこのページからすぐにできました。
https://www.altera.com/servlets/download2?swcode=WWW-SWD-QPRG-110-PC&referer=https://www.altera.com/download/programming/quartus2/pq2-index.jsp

インストールしてQuartusIIを再起動したところ、今度はProgrammer->HardwareSetupでUSBBLASTERが出てくるようになり、FPGAへの書き込みも問題なくできるようになりました。

なお、USBBRASTERドライバの削除と入れなおしはやっていません。

とりあえずこれで涼しい場所を探して作業できるようになりました。

2011/08/06

ALTERA CycloneII FPGAボード その2

水曜日にFPGAライタが届いてましたが、今週は帰りが遅くて。今日ようやく動かしてみたところです。

購入したライタはTERASIC社のUSBブラスタ互換、TerasicBlasterです。

 

 これは国内で買うと1万円以上ですが、ネット直販で$50、送料込みでも$82でした。今の円高で送料込み6000円位ですのでお買い得と思って購入しましたが。。。。注文した次の日に日昇テクノロジさんのサイトでやはり互換品を3750円で売っているのを見つけました。

FPGAボードと一緒にそっちを買うのが正解でした。。。。

 

 

 

気を取り直して、届いた箱と中身を写真に撮りました。
なかなか台湾っぽい箱のデザインです。こういう意味なく勇ましいのはなんか好きです。

Img_0173  Img_0174

しかし説明書とかCDとか一切ないのですね。ALTERA互換品だから不要ではありますが。

続きを読む "ALTERA CycloneII FPGAボード その2" »

2011/08/05

夏の鱧

気温は一時期ほどではないですが、蒸し暑い日が続きますね。

暑気払いに夏らしい食べ物のお話です。

先日夏休み中の先輩と友人の皆さんと高崎の居座古座 というお店にいってきました。

お店の情報についてはこのあたりをご覧ください。

中ではお座敷風の個室に通されまして、ゆったりしていてとても落ち着いた感じでした。

今回は、ハモフェアをやっているということで、一通り注文しました。

Hamo1  Hamo15  Hamo2_2

左から鱧の握り、湯引き、天ぷらです。

どれも大変美味しく頂けました。

続きを読む "夏の鱧" »

2011/08/02

ALTERA CycloneII FPGAボード

5月の連休以来の更新です。この3カ月ほど色々ありましてすっかり間があいてしまいました。

どうやら少し落ち着いてきましたので、またこのブログも少しずつ記事をアップしていきたいと思います。

再開の一回目は新しいFPGAボードです。XILINXのFPGAボードを少し動かしかけていましたが、ちょっとした事情でALTERAに乗り換えることにしました。

 

 

今回購入したのが、下写真の日昇テクノロジーさんのEP2C8Q208ボードです。最初はマルツ電波で売っている物を考えていたのですが、あとから検索で日昇テクノロジーさんのボードを見つけ、乗っているFPGAの規模が違うし、SDRAMも乗っているのでこちらにしました。

Img_0149

なお、日曜日にネットで注文したのですが、その日のうちに連絡が有り、月曜に発送、今日届きました。えらく対応の良いところです。

続きを読む "ALTERA CycloneII FPGAボード" »